Agilent Technologies 8133A Spezifikationen Seite 50

  • Herunterladen
  • Zu meinen Handbüchern hinzufügen
  • Drucken
  • Seite
    / 104
  • Inhaltsverzeichnis
  • FEHLERBEHEBUNG
  • LESEZEICHEN
  • Bewertet. / 5. Basierend auf Kundenbewertungen
Seitenansicht 49
50
Chapter 3: Testing Logic Analyzer Performance
Test Pod 1 in 250 Mb/s Mode
NOTE: As a point of curiosity, you may want to determine the absolute minimum
pulse width and/or absolute maximum frequency at which data can be
acquired. The “Performance Test Record” on page 60 does not include
places for recording these values because the Performance Verification
procedure only verifies that the logic analyzer meets specifications.
Determination of additional parameters is not required, but may be
performed at the discretion of the calibration laboratory.
On some pulse generators, the signal outputs may become unstable for a
short period of time when the signal parameters are adjusted. Adjusting
the pulse generator while the logic analyzer is running can cause a false
failure.
If the error message is displayed immediately after making an adjustment
to the pulse generator, select OK to close the error display window and re-
run the logic analyzer.
Test the complement of the bits (250 Mb/s mode)
Now test the logic analyzer using complement data.
1 On the 8133A pulse generator, in the PULSE setup for CHANNEL 2, select
COMP.
2 Note that the signal on the oscilloscope has moved. Change the
oscilloscope’s horizontal position to -725 ps (or as required) to center the
measured pulse on the oscilloscope display.
3 Verify the DC offset and adjust it if necessary. See page 36.
4 Deskew the oscilloscope if necessary. See page 37.
5 Adjust the oscilloscope’s measurement markers to measure the pulse
width. Set the markers so that =1.43 ns (this assumes you are using the
8133A pulse generator and the Infiniium 54845A oscilloscope). Adjust the
pulse generator so that the pulse width is 1.43 ns as measured by the
Seitenansicht 49
1 2 ... 45 46 47 48 49 50 51 52 53 54 55 ... 103 104

Kommentare zu diesen Handbüchern

Keine Kommentare